首页文章正文

upf仿真

upf设备 2023-11-12 16:28 758 墨鱼
upf设备

upf仿真

upf仿真

[VCS][UPF]低功耗仿真简介统一电源格式[UPF]低功耗仿真简介前言:在集成电路中,功耗的主要原因有以下三点:(1)开关电源;(2)短路可能,以前基于UPF1.0的仿真在用户切换到基于UPF2.0的功耗感知仿真语义后可能会失败。 造成这些故障的一个常见原因是,在UPF2.0中,UPF将默认为OFF状态,导致所有电源域都处于OFF状态。

ˇ▂ˇ 我们需要使用synopsys的mvtool和vcstools进行仿真:与一般的仿真步骤类似,RTL+UPF的仿真也可以分为三步。PGNetlist包含UPF中定义的各种低功耗单元,以及由PG引脚组成的供电网络。 ,可以直接使用vcs模拟。 UPF(UnifiedPowerFormat)是统一的功耗管理格式。UPF文件在整个流程中被用作黄金文件。

VCS-NLP低功耗仿真流程VCSNativeLowPower(NLP)支持用UPF进行Power-Aware仿真,主要用于动态仿真(静态有VCLP工具)。其使用流程如下:1.准备UPF(在UnifiedPowerForma中编写testbench后,需要使用synopsys的mvtool和vcstools进行仿真:与一般仿真步骤类似,仿真RTL+UPF也可以分为三步模式,但赛宝龙更喜欢一步,具体命令是:vcsmvsim-debug_all-upfdemo

ˋ0ˊ upf+vcs模拟如果要做upf+vcs联合模拟,首先需要编写upf文件。有两个方面:1.需要修改make文件(1)将tb文件改为.svmode(2)注意make文件中的修改。 .sv此时只需要一个完整的UPF文件来描述芯片的功耗意图。仿真器将根据UPF描述来优化RTL并添加功率。

后台-插件-广告管理-内容页尾部广告(手机)

标签: 嵌入式仿真

发表评论

评论列表

快喵加速器 Copyright @ 2011-2022 All Rights Reserved. 版权所有 备案号:京ICP1234567-2号